Press-Releases

AlixLabs AB today announced completing clean room hook up of R&D equipment for Atomic Layer Etch Pitch Splitting (APS)


STOCKHOLM, June 16, 2022 /PRNewswire/ — AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the manufacturing process – Atomic Layer Etch Pitch Splitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture and can open up a new path for a more sustainable high-volume production of semiconductor components. In addition, APS enables the fabrication of tiny semiconductor components accurately and efficiently at a lower complexity.

AlixLabs is now pleased to announce the completed hook-up of Atomic Layer Etch (ALE) equipment in its clean room at ProNano RISE in Lund, Sweden. The equipment is the original ICP Reactive Etch tool from Oxford Instruments (UK), a Plasmalab 100 used during the original discovery of the APS method. Later the ALE process was also successfully transferred to another tool of the same make at Micronova, Aalto University in Helsinki, Finland, showing that the method is robust. Since then, additional ALE tools have come into play operating at Lund Nano Lab supplied by PlasmaTherm (USA).

In a statement from Dr. Dmitry Suyatin, CTO and Co-founder of AlixLabs, on coming R&D activities at AlixLabs, he said, “We are delighted with the support from The ProNano team and our local contractors LaonLink AB meeting the hook-up timeline. We can now go ahead as planned, starting up the APS process to accelerate our R&D efforts and offer wafer-level demonstrations of APS.”

Dr. Jonas Sundqvist, CEO and Co-founder, added, “I am amazed that AlixLabs has reached this milestone on time as committed to our Board of Directors and Investors. Anyone in the business of installing gear in clean rooms will know what challenging time sourcing parts and components are right now with extreme lead-time on everything from piping and valves to vacuum pumps. We now move forward with our technology development roadmap setting up a wafer-level APS process in Lund to get ready for large wafers in 2023 and aim to have a demo ready 300 mm process in 2024. Ultimately, this type of leading-edge semiconductor processing technology happens on full size 300 mm wafers, and that is where we ultimately will go. However, in the meantime, we will also take opportunities to demonstrate the APS technology as they come on smaller wafer sizes.”

“The next opportunity to meet AlixLabs will be at The AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022), featuring the 9th International Atomic Layer Etching Workshop (ALE 2022). The conference will take place Sunday, June 26-Wednesday, June 29, 2022, at the International Convention Center (ICC) Ghent in Ghent, Belgium. On Tuesday, June 28, we proudly announce that Yoana Ilarionova will give an oral presentation in session ALE1-TuA-4 entitled In-situ Optical Emission Spectroscopy as a Tool to Characterize Cyclic Quasi-Atomic Layer Etching”. We hope to meet you there!

* Atomic Layer Etch Pitch Splitting (APS). As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs’s patent application for nanofabrication by ALE Pitch Splitting (APS). The US Patent Office issued a patent (US10930515) on February 23, 2021. The patent covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method can significantly impact the semiconductor industry by enabling sustainable scaling of electronic components and shrinking chip designs further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as multiple exposure lithography-etch and directed self-assembly (DSA). Association) https://www.semi.org/zh/world_fab_forecast_fab

CONTACT:

Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email jonas@alixlabs.com

This information was brought to you by Cision http://news.cision.com

https://news.cision.com/alixlabs-ab/r/alixlabs-ab-today-announced-completing-clean-room-hook-up-of-r-d-equipment-for-atomic-layer-etch-pit,c3586701

The following files are available for download:



Source link

The content is by PR Newswire. Headlines of Today Media is not responsible for the content provided or any links related to this content. Headlines of Today Media is not responsible for the correctness, topicality or the quality of the content.

Back to top button